Please use this identifier to cite or link to this item: http://lib.kart.edu.ua/handle/123456789/10021
Title: Методы автоматизации проектирования легкотестируемых компьютерных систем и устройств на основе цифровых автоматов
Other Titles: Methods for automating the design of easily tested computer systems and devices based on digital automata
Authors: Мирошник, Марина Анатольевна
Клименко, Любовь Анатольевна
Пахомов, Юрий Васильевич
Miroshnyk, Maryna
Klymenko, Liybov
Pakhomov, Y.V.
Keywords: керуючий автомат
таблиця переходів-виходів
зсувний регістр
сканований шлях
мова опису апаратури
САПР
Active-HDL
XILINX ISE
control finite state machine
state table
shift register
scanned path
hardware description language
CAD
Active-HDL
XILINX ISE
Issue Date: 2018
Publisher: Український державний університет залізничного транспорту
Citation: Мирошник М. А. Методы автоматизации проектирования легкотестируемых компьютерных систем и устройств на основе цифровых автоматов / М. А. Мирошник, Л. А. Клименко, Ю. В. Пахомов // Інформаційно-керуючі системи на залізничному транспорті. - 2018. - № 4. - С. 3-10.
Abstract: UA: Подано вдосконалені методи проектування легкотестованих цифрових пристроїв і математична модель кінцевих керуючих автоматів мовами опису апаратури. Актуальність роботи полягає в забезпеченні мінімальних додаткових витрат апаратури при автоматизованому проектуванні легкотестованих цифрових пристроїв, представлених моделями кінцевих керуючих автоматів мовами опису апаратури. Мета роботи полягає в розробленні процедури побудови моделей легкотестованих керуючих автоматів мовами опису апаратури та оцінюванні апаратурних витрат для різних способів введення апаратурної надмірності в HDL-моделі автоматів.
EN: The relevance of the work is to provide minimal additional hardware costs during design automation of easy-tested digital devices, which are represented by models of control finite state machines on hardware description languages. description languages and estimate hardware costs for different methods of hardware redundancy introduction to HDL-models of finite state machines. The introduction to HDL-models of control finite state machines, which are presented in the form of the FSM template, hardware redundancy (additional fragments of the HDL-code), providing the forcing setting of finite state machine into an arbitrary state without the use of synchronizing sequences. For implementation of this approach, the method of FSM's state table extending is applied, which ensures the mode of bypassing of all nodes of FSM' state diagram in the diagnostic mode. To develop procedures of models’ constructing of easy-tested control finite state machines on hardware.
URI: http://lib.kart.edu.ua/handle/123456789/10021
ISSN: 1681-4886 (рrint); 2413-3833 (online)
Appears in Collections:№ 4

Files in This Item:
File Description SizeFormat 
Miroshnyk.pdf198.55 kBAdobe PDFView/Open


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.